Detailed study of NBTI characterization in 40-nm CMOS process using comprehensive models
Zeng Yan1, Li Xiao-Jin1, †, Qing Jian1, Sun Ya-Bin1, ‡, Shi Yan-Ling1, Guo Ao2, Hu Shao-Jian2
Shanghai Key Laboratory of Multidimensional Information Processing and Department of Electrical Engineering, East China Normal University, Shanghai 200241, China
Shanghai Integrated Circuit Research & Development Center, Shanghai 201203, China

 

† Corresponding author. E-mail: xjli@ee.ecnu.edu.cn ybsun@ee.ecnu.edu.cn

Abstract

The impact of negative bias temperature instability (NBTI) can be ascribed to three mutually uncorrelated factors, including hole trapping by pre-existing traps ( in gate insulator, generated traps ( in bulk insulator, and interface trap generation (. In this paper, we have experimentally investigated the NBTI characteristic for a 40-nm complementary metal–oxide semiconductor (CMOS) process. The power-law time dependence, temperature activation, and field acceleration have also been explored based on the physical reaction–diffusion model. Moreover, the end-of-life of stressed device dependent on the variation of stress field and temperature have been evaluated. With the consideration of locking effect, the recovery characteristics have been modelled and discussed.

1. Introduction

Negative bias temperature instability (NBTI) has become one of the most critical reliability issues with the CMOS transistor scaling down.[1] It triggers the degradation in device parameters such as threshold voltage (, linear or saturation drain currents (, transconductance, subthreshold, and thus deteriorates the performance of circuits and systems over time.[24]

The physical mechanisms of NBTI have been fully studied and then several comprehensive models have been proposed.[58] The NBTI effect can be attributed to three mutually uncorrelated components. They are the interface trap generation (, the hole trapping in pre-existing defects (, and the oxide bulk trap generation (. is explained by the double interface reaction–diffusion (RD) model.[6, 8] is thought to be a fast component,[810] and it can be described by the two-energy-well model.[8, 11] in SiON bulk is strongly dependent on voltage drop across SiON interlayer (IL) and it is negligible for HKMG devices and low field scenarios.[6] During the stress phase, may fully saturate within several seconds, while and manifest themselves as power-law ramping. During the recovery, a part of the interface traps will be annealed by fast electron capturing in several seconds, and followed by the slow recovery of the remaining RD generated traps.[6, 12] The slow recovery of NBTI is driven by the back diffused hydrogen molecules (H2). However, the locking effect cannot be ignored, some of the released hydrogen (H) species during the stress can be trapped and these H species are considered to be locked and will not be involved during recovery.[6]

In this paper, the H2 locking effect has been involved in characterizing the NBTI effect for a 40-nm CMOS process. The NBTI effect of 40-nm CMOS process under different stress voltages ( and temperatures (T) have been experimentally studied, then the time exponent (n), activation energy (, and field acceleration ( have been thoroughly investigated. Moreover, the long-term recovery of NBTI effect has been described by the comprehensive models.

2. Experiment and devices

In order to distinguish the contribution from NBTI, the thick oxide () p-MOSFETs () have been chosen to sustain the higher stress voltages ( and 2.3 V). Besides, lower voltages (, 1.3 V, and 1.5 V) have been applied to the other set of p-MOSFETs () with thinner oxide (). The different temperatures (T = 125 °C and 85 °C) have been applied, and both the stress and recovery last for 104 s. The initial threshold voltage ( of each p-MOSFET has been extracted using the constant current method. The drain-to-source voltage ( is kept to 50 mV and gate-to-source voltage () increases until reaches 40 × W/L (nA), then can be obtained by . To measure the drain current shift ( caused by the NBTI, the MSM (measure-stress-measure) method has been adopted. Given the fresh drain current , can be transformed to by[13]

3. Long-term NBTI degradation
3.1. Degradation characteristic

As mentioned before, NBTI degradation is composed of three mutually uncorrelated underlying components , , and . Time evolution of these three components can be expressed as the analytical equations as shown in Table 1.[7, 14, 15] and are dependent on identical electrical field ( and Arrhenius T activation. The activation energy for includes the forward breaking (, the reverse annealing ( of Si–H bonds, and the H2 diffusion ().[14] Time evolution of has a stretched exponential form with Arrhenius T activation and power-low voltage acceleration factor .[15] Note, the fitting parameters and η are also listed in Table 1. The interface trap generation can be described by the double interface RD model.[8, 9] The first interface refers to the Si/SiO2 interface and the second involves the SiO2/poly gate interface.

Table 1.

NBTI models during stress phase.

.

The X–H bonds are mainly located at the Si/SiO2 interface, where X may be silicon, nitrogen, oxygen, or different oxygen vacancy-related defects. During the NBTI stress, the holes in the inversion layer tunnel into the interface and get captured in Si–H bonds. The corresponding covalent bonds get weakened and can be easily broken by the thermal process.[8] Then, the broken Si–H bonds release H atoms that diffuse towards the poly gate and react with X–H to form H2 molecules. The diffusion of H2 determines the time evolution of for a long stress time (, and shows a power-law time dependence with an exponent of 1/6, as shown in Table 1.

Figure 1 compares the time evolution of the measured data and the model calculation for different devices. The total degradation is divided into , , and . Figures 1(a) and 1(b) show the degradation of device under 1.8 V and 2.3 V, (c) and (d) show device under 1.1 V and 1.3 V, respectively. These thinner devices cannot survive in high , and the degradation under low voltage at T = 85 °C seems pretty small. Therefore, the higher temperature up to 125 °C has been used to accelerate the degradation. Then, similar degradation for two different devices has been achieved. gets saturated and keeps constant after several seconds. When the stress voltage lower than 1.8 V is applied, it is believed that plays a dominant role in the NBTI degradation, shown in panels (a), (c), and (d). can be ignored for and 1.3 V, it is believed that low oxide field is not enough to trigger the oxide bulk trap generation. Whereas, the contribution from gradually dominates the degradation when the continues increasing. is identified to be due to impact ionization and hot-hole generation in the substrate, which is triggered by hot electron injection from the gate cathode. Though is mainly responsible for NBTI for a vast majority of cases, dominates the overall degradation for stress at high .[16] Both and manifest themselves as a power-law function of time. When is elevated up to 1.8 V, the contribution from is about 16% of the total degradation at 104 s. Given , the relative contribution is up to 58%. In contrast, the contribution from continues to decrease with increasing, giving 89%@ , 73%@, and 58%@. Figure 2 also shows a good agreement between the measured data and the calculation for other devices and stress conditions. Note that only the total degradations have been given, here the individuals of , , and are not shown.

Fig. 1. Experimental , the model calculation and the underlying components under different stress conditions.
Fig. 2. Time evolution of and the model calculation under different stress conditions, the extracted parameters: ), (1/cm), C = 0 ( is negligible at low voltage), Γ =0.54 (cm/MV), (eV).

In order to investigate the time evolution of each subcomponent, the relative contribution of each one under different , T, and have been analyzed. Figures 3(a), 3(b), and 3(c) show the relative contribution under different at 104‘s. As increases the relative contribution of goes up, whereas have the opposite tendency. Their cross points locate at 2.4 V, 2.15 V, and 2.05 V for 25 °C, 85 °C, and 125 °C, respectively, and they may decrease as the temperature is elevated. Figures 3(d), 3(e), and 3(f) show the relative contributions of , , and changing with V under different stress times but at the same temperature (85 °C). Longer , faster descending. The longest leads to the largest at small , giving 95%. With increasing from 1.3 V to 2.3 V, the longest drops to less than 30%. The root cause is that the generation rate of overwhelms with ramping, shows a stronger bias dependence than that of , see Fig. 3(f). Since is easy to saturate it plays a more important role at smaller , as shown in Fig. 3(e).

Fig. 3. (color online) (a)–(c) Relative contributions from , , and versus V under different T at , (d)–(f) dependence of the relative contributions from each subcomponents for different at given T = 85 °C.

The device lifetime τ is defined as the time when the device drain current reaches 10% relative degradation. Figure 4 shows the relationship between the device lifetime and the initial oxide field based on our extracted parameters. It is suggested that is a linear function of , larger and/or higher T lead to shorter lifetime.

Fig. 4. Lifetime under different and T based on the extracted parameters.
3.2. Analysis of NBTI parameters

To better understand the NBTI features in 40-nm process, time exponent n, Arrhenius temperature activation , and power-law oxide field acceleration have been explored. The experimental data are from the p-MOSFETs with thin gate insulator and low stress voltage, thus dominates the long-term degradation in which is excluded.

3.2.1. Time exponent

The power-law time exponent is of vital importance as it determines the extrapolation from the measured data to the lifetime of a device. Across a large variety of devices and circuits, n is observed to be a universal parameter which approximates 1/6.[17] It is found that measured data of versus are parallel to each other for different and T when plotted in log-log scale, and n can be calculated from time evolution by linear regression in . Figure 5 shows the relationship between n and , and it also implies , which is consistent with the physical explanation. Note that, in this extraction, saturates, is excluded due to low , and plays a dominant role.

Fig. 5. Extracted time exponent .
3.2.2. Temperature activation

Figure 6 shows our measured and the reported [18] as two functions of stress temperature T for a given . NBTI degradation has a linear relationship with in semi-log scale, where k is the Boltzmann constant. As equation (1) shows, is proportional to and therefore, can be extracted. The extracted (0.089 eV) from our experimental data is close to 0.096 eV which is reported.[18] Hole trapping subcomponent (0.052 eV) has a lower activation energy compared with that of , and thus reduces the overall equivalent . However, because dominates the long-term degradation at lower (), the overall is determined by . According to RD theory, time evolution is controlled by molecule H2 diffusion and shows an activation of eV.[15] Since Si–H bond dissociation and passivation are similarly activated, is approximately equal to . The temperature activation at given would be described as , seen in Table 1. Consider the contribution of , of overall will be close to but lower than 0.1 eV, which is consistent with the discussion above, giving the extracted of 0.089 eV.

Fig. 6. Measured and as a function of T at given .
3.2.3. Field acceleration

The oxide field dependence of and originates from holes in the inversion layer tunneling to Si–H bonds and pre-existing traps.[8] and are proportional to the term of . Assume and have the same field acceleration factor, giving , for simplicity and generality, the field acceleration factor of overall degradation has been extracted. Figure 7 shows that the NBTI degradation under different temperatures have the identical , different temperatures have the similar .

Fig. 7. Measured and model prediction at s for varying .
4. NBTI recovery

In the double interface RD model,[6, 8, 9] the slow recovery is described as a H2 molecule diffusing back from poly to the gate insulator and reacting with the broken to form X–H bonds. The resultant H atoms diffuse towards the interface of SiO2/Si to form Si–H bonds. The X-defects are randomly distributed in the gate insulator and H2 molecule needs to hop around until it is captured. With the passivation of broken continuing, the probability of H2 finding an available defect decreases over time and the hopping of H2 molecule would last longer. This physical process can be characterized by slowing down H2 diffusivity over time.[6, 11] Furthermore, some released H species may be locked in traps or get out of the system and thus a fraction of degradation remains permanent.[6, 19]

During NBTI recovery phase, hole trapping in and can rapidly recover within several seconds.[6, 20] A fraction of below the Fermi level may capture electrons, behaving as a fast recovery. On the other hand, the remaining broken bonds above the Femi level may be passivated by the back-diffused H2 and H. The slow recovery of NBTI degradation is mainly contributed by the passivation of interface defects with back diffusion of H2.[21] In general, the total recovery consists of three uncorrelated components, they are 1) fast recovery of and , 2) fast electron capture by partial (, and 3) slow recovery of the remaining ().[6]

In this work, an analytical model derived by the RD theory considering H2 locking effect has been adopted to describe the time evolution of , as shown in Table 2. and are the initial degradations before recovery and can be calculated by the model in Table 1. is introduced to describe the fraction of which rapidly recovers, the term of is the fast recovery, and is the slow counterpart. Furthermore, the factor α is also introduced to account for the unrecovered . When the recovery time is less than the stress, giving , the factor ξ manifests itself as a constant.[22] The analytical solution of the fast recovery components and have been listed in Table 2.[6, 23] Note that the contribution from has been ignored because the applied is not enough to trigger the oxide bulk trap generation.

Table 2.

NBTI model for recovery.

.

Figure 8 shows the time evolution of measured after NBTI stress for different and temperatures. The calculated and its underlying subcomponents have been extracted. and fully recover within several seconds and determines the long-term recovery process. Note, during the recovery the stress voltage is withdrawn and the temperature is kept. The relative contributions of , , and are different as shown in Fig. 9. When the stress time is smaller, the contribution from will be larger and the overall degradation will recover faster. The shorter the stress time, the larger the relative Given as 100 s, 104 s, and 106 s, the contribution of in the remaining overall degradation achieve 95% at of 800 s, 40 s, and 8 s, respectively. Since the difference between and is smaller than 5%, the slow recovery due to begins to dominate the long-term degradation at 796 s, 38 s, and 8 s. In general, dominates the overall degradation and then slows the recovery.

Fig. 8. (color online) Time evolution of measured (symbols) under different conditions for NBTI recovery. Overall model prediction for and underlying , , and subcomponents are also shown.
Fig. 9. (a)–(c) Relative contributions of the remaining , , and to the overall degradation, (d)–(f) relative contribution of the remaining , , , and to their initial degradation , respectively, for different NBTI stress times at C, , and .

Figure 10 shows that the time evolutions of measured adhere to the model prediction well under different stress conditions. It is found that about 44% of the degradation accumulated during the stress recovers at the time s across different conditions. Given T = 85 °C, the recovered percentages are 43.38%@, 44.3%@, and 43.8%@ at s, respectively. When T = 125 °C, has a 45.2% recovery proportion at s, while has 43.0%.

Fig. 10. The experimental , and analytical model prediction under different conditions, the parameters of the analytical model are given as , and , , , , .
5. Conclusions

With the comprehensive stress/recovery models, the long-term NBTI degradation in 40-nm process has been thoroughly studied. Based on the experimental data, the relative contributions from , , and have been analyzed. Time exponent, Arrhenius temperature activation, and power-law oxide field acceleration have been extracted, given as , , and . During the NBTI recovery, the characteristics of each subcomponent have also been described and discussed. It can be concluded that the NBTI characteristic of 40-nm process can be well described by the comprehensive models and our extracted model parameters.

Reference
[1] Tang H L Xu B L Zhuang Y Q Zhang L Li C 2016 Acta Phys. Sin. 65 168502
[2] Krishnan A T Reddy V Chakravarthi S Rodriguez J John S Krishnan S 2003 IEEE International Electron Devices Meeting 8
[3] Liu H X Hao Y 2016 Chin. Phys. 16 2111
[4] Cao Y R Ma X H Hao Y Hu S G 2010 Chin. Phys. 19 047307
[5] Joshi K Mukhopadhyay S Goel N Mahapatra S 2012 IEEE International Reliability Physics Symposium 15
[6] Mahapatra S 2016 Fundamentals of Bias Temperature Instability in MOS Transistors New Delhi Springer 209 263 10.1007/978-81-322-2508-9
[7] Goel N Joshi K Mukhopadhyay S Nanaware N Mahapatra S 2014 Microelectron. Rel. 54 491
[8] Mahapatra S Goel N Desai S Gupta S Jose B Mukhopadhyay S Joshi K Jain A Islam A E Alam M A 2013 IEEE Trans. Electron. Dev. 60 901
[9] Parihar N Goel N Chaudhary A Mahapatra S 2016 IEEE Trans. Electron Dev. 63 946
[10] Mahapatra S Islam A Deora S Maheta V Joshi K Jain A Alam M 2011 International Reliability Physics Symposium 10
[11] Desai S Mukhopadhyay S Goel N Nanaware N Jose B Joshi K Mahapatra S 2013 IEEE International Reliability Physics Symposium 14
[12] Yoshiki Y 2014 Microelectron. Rel. 54 520
[13] Krishnan A T Chancellor C Chakravarthi S Nicollian P E Reddy V Varghese A Khamankar R B Krishnan S 2005 IEEE International Electron Devices Meeting Technical Digest 5
[14] Joshi K Mukhopadhyay S Goel N Nanware N Mahapatra S 2014 IEEE Trans. Electron Dev. 61 408
[15] Mahapatra S 2016 Fundamentals of Bias Temperature Instability in MOS Transistors New Delhi Springer 127 179 10.1007/978-81-322-2508-9
[16] Mahapatra S Kumar P B Alam M A 2004 IEEE Trans. Electron Dev. 51 1371
[17] Mahapatra S Huard V Kerber A Reddy V Kalpat S Haggag A 2014 IEEE International Reliability Physics Symposium Proceedings 1
[18] Nozomu K Yasuhiro D Nobuyuki W 2009 Microelectron. Rel. 49 989
[19] Rangan S Mielke N Yeh E C C 2003 IEEE International Electron Devices Meeting Technical Digest 8
[20] Deora S Maheta V Islam A Alam M Mahapatra S 2009 IEEE Electron Dev. Lett. 30 978
[21] Cao Y R Yang Y Cao C He W L Zheng X F Ma X H Hao Y 2015 Chin. Phys. 24 097304
[22] Kumar S V Kim C H Sapatnekar S S 2009 IEEE Trans. Dev. Mater Rel. 9 537
[23] Goel N Naphade T Mahapatra S 2015 IEEE International Reliability Physics Symposium 19